Welcome![Sign In][Sign Up]
Location:
Search - SDRAM FPGA

Search list

[File FormatSDRAM

Description: 基于FPGA的SDRAM读写驱动的源代码,多年收集的,希望对大家有帮助-FPGA-based SDRAM read and write driver source code, collected over the years, and I hope to help everyone
Platform: | Size: 2628608 | Author: xiaokai | Hits:

[OtherDDR-SDRAM

Description: DDR SDRAM控制器的FPGA实现-DDR SDRAM Controller with FPGA
Platform: | Size: 249856 | Author: pzf | Hits:

[Technology ManagementSDRAM-dataset

Description: SDRAM详细资料集,还有FPGA控制SDRAM的读写实例,对于想要深入研究FPGA的朋友非常有帮助-SDRAM detailed data sets, as well as examples of FPGA control SDRAM read and write, who want in-depth study on FPGA friends very helpful! !
Platform: | Size: 23641088 | Author: 刘云 | Hits:

[VHDL-FPGA-VerilogDDR3-SDRAM-Controller

Description: DDR3的控制器(并带有Testbench),可烧录到FPGA中对内存进行读写,相关技术人员可在该代码上修改用于其他场合-DDR3 controller (with an Testbench), the FPGA can be burned to the memory read and write, the relevant technical staff can modify the code to be used on other occasions
Platform: | Size: 242688 | Author: 杨凯 | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: FPGA上实现SDRAM初始化及控制源程序-Implemented on FPGA SDRAM initialization and control source
Platform: | Size: 5035008 | Author: 李丽 | Hits:

[Software Engineeringrealization-of-VGA-display-with-FPGA

Description: <用FPGA实现VGA显示> 摘要:本文介绍了一种用FPGA结合DDR SDRAM和单片机,在VGA显示器上显示字符、图形信息的方法。-The realization of VGA display with FPGA
Platform: | Size: 239616 | Author: zblinux | Hits:

[VHDL-FPGA-Verilogsdram

Description: FPGA读写SDRAM。里面有详细的注释,供初学者参考,Verilog 语言-FPGA read SDRAM. There are detailed notes, reference for beginners,
Platform: | Size: 9007104 | Author: 果粒橙 | Hits:

[VHDL-FPGA-Verilogsdram_led1

Description: 用于焊接硬件SDRAM时调试,FPGA驱动SDRAM看能否工作,led可选用户自定义引脚-Used in the welding of the hardware SDRAM, FPGA driver SDRAM to see whether the work, led optional user defined pin
Platform: | Size: 2521088 | Author: 戚小雨 | Hits:

[VHDL-FPGA-VerilogSDRAM-controler-based-on-the-FPGA

Description: 本例是用FPGA器件实现SDRAM操作,所用语言为verilog硬件描述语言,希望可以对学习FPGA的人起到帮助作用-In this case is to achieve SDRAM operating with FPGA devices, and use of language verilog hardware description language, I hope people can learn to play FPGA helpful
Platform: | Size: 4372480 | Author: PrudentMe | Hits:

[VHDL-FPGA-VerilogDDR-SDRAM-Controller

Description: DDR SDRAM控制器verilog代码及中文说明文档-DDR SDRAM Controller Using Virtex-5 FPGA Devices
Platform: | Size: 262144 | Author: 马龙 | Hits:

[ComboBoxSDRAM-control

Description: 使用FPGA实现的SDRAM控制器访问代码,该代码的时序参数可调整-SDRAM controller FPGA implementation using the access code, the code is adjustable timing parameters
Platform: | Size: 27648 | Author: albert | Hits:

[VHDL-FPGA-Verilog1-SDRAM

Description: 串行接口是最简单的一种通信方式,串口通信有两种方式,一种是同步串行,如SPI接口;另一种则是异步串行,即我们所说的UART。这个项目向大家展示了如何使用FPGA来模拟UART收发器。-uart fpga verilog
Platform: | Size: 13312 | Author: jackwu | Hits:

[VHDL-FPGA-VerilogSDRAM

Description: 基于FPGA的nios ii嵌入式SDRAM应用开发程序,仅供参考学习使用,谢谢。-NIOS based on the II FPGA embedded SDRAM application development process, only reference learning to use, thank you.
Platform: | Size: 20953088 | Author: 宁静 | Hits:

[VHDL-FPGA-Verilog11_ddr3_test

Description: fpga ddr3 sdram verilog 黑金的板子(fpga ddr3 sdram verilog)
Platform: | Size: 7236608 | Author: 翻山越岭 | Hits:

[ARM-PowerPC-ColdFire-MIPSsdram_16bit_latest.tar

Description: 这个IP核是一个小型的,简单的SDRAM控制器,用于为16位SDRAM芯片提供32位流水线的二叉树接口。 当访问开放行时,读写可以流水线实现完整的SDRAM总线利用率,但是读写之间的切换需要几个周期。(This IP core is that of a small, simple SDRAM controller used to provide a 32-bit pipelined Wishbone interface to a 16-bit SDRAM chip. When accessing open rows, reads and writes can be pipelined to achieve full SDRAM bus utilization, however switching between reads & writes takes a few cycles. The row management strategy is to leave active rows open until a row needs to be closed for a periodic auto refresh or until that bank needs to open another row due to a read or write request. This IP supports supports 4 open active rows (one per bank).)
Platform: | Size: 24576 | Author: hnzziafyz | Hits:

[CSharpOV7670_TFT

Description: 基于OV7670摄像头的FPGA采集工程,通过VGA显示输出。(OV7670 camera based on FPGA acquisition projects through VGA display output)
Platform: | Size: 1937408 | Author: 大灰机 | Hits:

[VHDL-FPGA-Verilogsdram_verilog

Description: verilog实现外部sdram读写功能,实测可用(SDRAM read and write function by verilog)
Platform: | Size: 659456 | Author: fgghz | Hits:

[VHDL-FPGA-Verilogsdram_ip

Description: 完成SDRAM的上电配置,状态机编写其读写模块,存储模块,并通过两个异步作为存储和读取的通道(Complete the SDRAM power-on configuration, the state machine to write its read-write module, memory module, and through two asynchronous as a storage and read the channel)
Platform: | Size: 166912 | Author: 子炎恋紫雪 | Hits:

[SCMsdr_ctrl_latest

Description: Code for use with windows on FPGA and provides latest controller for SDRAm and Flash at the same time
Platform: | Size: 7843840 | Author: rajban | Hits:

[VHDL-FPGA-Verilogmy_sdram_mdl

Description: 此功能为altera fpga 的sdram 控制器,串口接收与发送(This feature altera fpga sdram controller, serial port to receive and send)
Platform: | Size: 1206272 | Author: flyhouse112 | Hits:
« 1 2 3 4 5 6 7 89 10 11 12 13 14 15 »

CodeBus www.codebus.net